Navigacija
Lista poslednjih: 16, 32, 64, 128 poruka.

Molim za pomoc oko zadatka u VHDL-u

[es] :: Ostali programski jezici :: Molim za pomoc oko zadatka u VHDL-u

[ Pregleda: 2337 | Odgovora: 5 ] > FB > Twit

Postavi temu Odgovori

Autor

Pretraga teme: Traži
Markiranje Štampanje RSS

beautifulsmile
student

Član broj: 229063
Poruke: 15
*.crnagora.net.



Profil

icon Molim za pomoc oko zadatka u VHDL-u15.04.2010. u 12:59 - pre 170 meseci
Code:
 
 
 LIBRARY ieee;
 USE ieee.std_logic_1164.all;

ENTITY komparator IS
    
    PORT 
    (
        broj1 : IN     STD_LOGIC_VECTOR (7 DOWNTO 0);
        broj2 : IN     STD_LOGIC_VECTOR (7 DOWNTO 0);
        manji : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
        veci  : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)
    );
END komparator;
    
ARCHITECTURE KOMP of komparator IS

        signal manji_manji : STD_LOGIC_VECTOR (7 DOWNTO 0);
    signal veci_veci   : STD_LOGIC_VECTOR (7 DOWNTO 0);    
    
    BEGIN 


        manji <= manji_manji;
        veci  <= veci_veci;
    
        process(broj1,broj2,veci_veci,manji_manji)
        
        begin 

        if (broj1<broj2) then
        manji_manji <= broj1;
        veci_veci  <= broj2;
        elsif (broj1>broj2) then
        manji_manji <= broj2;
        veci_veci  <= broj1;
        else
        manji_manji <= broj1;
        veci_veci  <= broj1;
        END if;
    
        END process;
        
END KOMP;






Moze li neko da mi objasni zasto stalno imam gresku Error (10476): VHDL error at komparator1.vhd(37): type of identifier "manji" does not agree with its usage as "std_ulogic" type?? Hvala unaprijed!
 
Odgovor na temu

misk0
.: Lugano :. _.: CH :.

SuperModerator
Član broj: 634
Poruke: 2824
*.adsl.ticino.com.

ICQ: 46802502


+49 Profil

icon Re: Molim za pomoc oko zadatka u VHDL-u15.04.2010. u 19:25 - pre 170 meseci
a gdje ti dobijas tu gresku? Ja sam to iskompajlirao sa Sonatom bez problema..

:: Nemoj se svadjati sa budalom, ljudi cesto nece primjetiti razliku ::
 
Odgovor na temu

beautifulsmile
student

Član broj: 229063
Poruke: 15
*.crnagora.net.



Profil

icon Re: Molim za pomoc oko zadatka u VHDL-u16.04.2010. u 10:18 - pre 170 meseci
U Quartus II programu kad stavim Start Compilation izbacuje mi ovu gresku. Prvo mi je izbacivao da

Code:
 

manji : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
veci  : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)

 


treba da bude BUFFERED STD_LOGIC_VECTOR jer se manji i veci uporebljavaju i kao izlazni signal a koriste se i kao parametri u process funkciji pa sam nasla kako da izbjegnem to BUFFERED a sad mi izbacuje ovu gresku...
 
Odgovor na temu

misk0
.: Lugano :. _.: CH :.

SuperModerator
Član broj: 634
Poruke: 2824
*.adsl.ticino.com.

ICQ: 46802502


+49 Profil

icon Re: Molim za pomoc oko zadatka u VHDL-u16.04.2010. u 21:20 - pre 170 meseci
Koju gresku?


:: Nemoj se svadjati sa budalom, ljudi cesto nece primjetiti razliku ::
 
Odgovor na temu

beautifulsmile
student

Član broj: 229063
Poruke: 15
*.crnagora.net.



Profil

icon Re: Molim za pomoc oko zadatka u VHDL-u19.04.2010. u 14:30 - pre 170 meseci
Error (10476): VHDL error at komparator1.vhd(37): type of identifier "manji" does not agree with its usage as "std_ulogic" type
 
Odgovor na temu

djordje1979
Đorđe Trifunović
Beograd

Član broj: 31540
Poruke: 218
91.150.70.*

Jabber: djordje1979


+92 Profil

icon Re: Molim za pomoc oko zadatka u VHDL-u07.07.2010. u 05:09 - pre 168 meseci
Active HDL:
Citat:
Compile success 0 Errors 0 Warnings

Kod je dobar.
 
Odgovor na temu

[es] :: Ostali programski jezici :: Molim za pomoc oko zadatka u VHDL-u

[ Pregleda: 2337 | Odgovora: 5 ] > FB > Twit

Postavi temu Odgovori

Navigacija
Lista poslednjih: 16, 32, 64, 128 poruka.